設(shè)計(jì)碼完代碼后,有時(shí)候想簡(jiǎn)單調(diào)試一下基本的通路,此時(shí)還沒(méi)有驗(yàn)證資源進(jìn)來(lái),可以仿照modesim仿真的方法,創(chuàng)建一個(gè).v/.sv的頂層,里面例化DUT,里面加預(yù)期激勵(lì);
下面是VCS/XRUN兩種仿真工具最簡(jiǎn)單的demo,spi_slv.v是待測(cè)dut,tb_top.sv為頂層top,例化dut, 里面添加對(duì)應(yīng)的激勵(lì);
1.VCSdemo:
步驟一:c bash下設(shè)置工具對(duì)應(yīng)的環(huán)境變量(如果已經(jīng)設(shè)置好,則忽略)
setenvNOVAS_HOME $ENVDIR/apps/synopsys/verdi/2017.03 setenvVERDI_HOME $ENVDIR/apps/synopsys/verdi/2017.03 setenvLD_LIBRARY_PATH$VERDI_HOME/share/PLI/lib/LINUX64:$VERDI_HOME/share/PLI/IUS/LINUX64/boot:$LD_LIBRARY_PATH |
步驟二:編譯運(yùn)行對(duì)應(yīng)的.v/.sv 文件 + dumpfsdb所需要的pli
vcs -full64 -R spi_master.v tb_top.sv +time_scale=1ns -debug_pp -LDFLAGS -rdynamic-P ${NOVAS_HOME}/share/PLI/VCS/LINUX64/novas.tab |
步驟三:例化頂層,添加fsdb dump 的系統(tǒng)函數(shù), 添加激勵(lì)
moduletb_top; wire SDO; wire SDI; wireSCLK; wireCS; spi_slv m_spi( .CS(CS), .SDO(SDI), .SDI(SDO), .SCLK(SCLK) ); initial begin #10000;// simulation time //TODO ADD code here $finish(); end initial begin $fsdbDumpfile("test.fsdb"); $fsdbDumpvars(0,tb_top); end endmodule |
步驟四:打開(kāi)波形: verdi -f filelist.f -ssf test.fsdb
波形效果如下
2.XRUN demo:
步驟一:設(shè)置運(yùn)行XRUN工具對(duì)應(yīng)的環(huán)境變量
略 |
步驟二:編譯運(yùn)行對(duì)應(yīng)的.v/.sv 文件 + dump shm 波形
xrun-sv-c-elaboratespi_master.vtb_top.sv-accessrwc&&xrun-R-inputwave_dump.tcl |
wave_dump.tcl 文件:
database-open waves -into test.shm -default -event probetb_top -all -dynamic -depth all -tasks -functions -all -memories -variablestb_top run exit |
步驟三:例化頂層,添加激勵(lì)
moduletb_top; wireSDO; wireSDI; wire SCLK; wireCS; spi_slv m_spi( .CS(CS), .SDO(SDI), .SDI(SDO), .SCLK(SCLK) ); initial begin #10000; //TODO ADD code here $finish(); end endmodule |
步驟四:打開(kāi)波形:simvision test.shm
波形效果如下:
審核編輯:湯梓紅
-
仿真
+關(guān)注
關(guān)注
50文章
4070瀏覽量
133552 -
UVM
+關(guān)注
關(guān)注
0文章
182瀏覽量
19167 -
VCS
+關(guān)注
關(guān)注
0文章
79瀏覽量
9600 -
編譯
+關(guān)注
關(guān)注
0文章
657瀏覽量
32852 -
仿真環(huán)境
+關(guān)注
關(guān)注
0文章
4瀏覽量
5226
原文標(biāo)題:VCS/XRUN如何創(chuàng)建一個(gè)非UVM的簡(jiǎn)單仿真環(huán)境?
文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。
發(fā)布評(píng)論請(qǐng)先 登錄
相關(guān)推薦
評(píng)論