RM新时代网站-首页

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

VCS/XRUN如何創(chuàng)建一個(gè)非UVM的簡(jiǎn)單仿真環(huán)境?

sanyue7758 ? 來(lái)源:芯片設(shè)計(jì)驗(yàn)證 ? 2023-05-12 12:37 ? 次閱讀

設(shè)計(jì)碼完代碼后,有時(shí)候想簡(jiǎn)單調(diào)試一下基本的通路,此時(shí)還沒(méi)有驗(yàn)證資源進(jìn)來(lái),可以仿照modesim仿真的方法,創(chuàng)建一個(gè).v/.sv的頂層,里面例化DUT,里面加預(yù)期激勵(lì);

下面是VCS/XRUN兩種仿真工具最簡(jiǎn)單的demo,spi_slv.v是待測(cè)dut,tb_top.sv為頂層top,例化dut, 里面添加對(duì)應(yīng)的激勵(lì);

1.VCSdemo

步驟一:c bash下設(shè)置工具對(duì)應(yīng)的環(huán)境變量(如果已經(jīng)設(shè)置好,則忽略)

setenvNOVAS_HOME $ENVDIR/apps/synopsys/verdi/2017.03
setenvVERDI_HOME $ENVDIR/apps/synopsys/verdi/2017.03
setenvLD_LIBRARY_PATH$VERDI_HOME/share/PLI/lib/LINUX64:$VERDI_HOME/share/PLI/IUS/LINUX64/boot:$LD_LIBRARY_PATH

步驟二:編譯運(yùn)行對(duì)應(yīng)的.v/.sv 文件 + dumpfsdb所需要的pli

vcs -full64 -R spi_master.v tb_top.sv +time_scale=1ns -debug_pp -LDFLAGS -rdynamic-P ${NOVAS_HOME}/share/PLI/VCS/LINUX64/novas.tab

步驟三:例化頂層,添加fsdb dump 的系統(tǒng)函數(shù), 添加激勵(lì)

moduletb_top;
wire SDO;
wire SDI;
wireSCLK;
wireCS;
spi_slv m_spi(
.CS(CS),
.SDO(SDI),
.SDI(SDO),
.SCLK(SCLK)
);
initial begin
#10000;// simulation time
//TODO ADD code here
$finish();
end
initial begin
$fsdbDumpfile("test.fsdb");
$fsdbDumpvars(0,tb_top);
end
endmodule

步驟四:打開(kāi)波形: verdi -f filelist.f -ssf test.fsdb

波形效果如下

daa16068-f076-11ed-90ce-dac502259ad0.png

2.XRUN demo:

步驟一:設(shè)置運(yùn)行XRUN工具對(duì)應(yīng)的環(huán)境變量

步驟二:編譯運(yùn)行對(duì)應(yīng)的.v/.sv 文件 + dump shm 波形

xrun-sv-c-elaboratespi_master.vtb_top.sv-accessrwc&&xrun-R-inputwave_dump.tcl

wave_dump.tcl 文件:

database-open waves -into test.shm -default -event
probetb_top -all -dynamic -depth all -tasks -functions -all -memories -variablestb_top
run
exit

步驟三:例化頂層,添加激勵(lì)

moduletb_top;
wireSDO;
wireSDI;
wire SCLK;
wireCS;
spi_slv m_spi(
.CS(CS),
.SDO(SDI),
.SDI(SDO),
.SCLK(SCLK)
);
initial begin
#10000;
//TODO ADD code here
$finish();
end
endmodule

步驟四:打開(kāi)波形:simvision test.shm

波形效果如下:

dacc6eb6-f076-11ed-90ce-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4070

    瀏覽量

    133552
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    182

    瀏覽量

    19167
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    79

    瀏覽量

    9600
  • 編譯
    +關(guān)注

    關(guān)注

    0

    文章

    657

    瀏覽量

    32852
  • 仿真環(huán)境
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    5226

原文標(biāo)題:VCS/XRUN如何創(chuàng)建一個(gè)非UVM的簡(jiǎn)單仿真環(huán)境?

文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    圖像處理:HDMI顯示代碼的UVM仿真

    本篇完成對(duì)HDMI顯示代碼的UVM仿真,梳理下在windows-modelsim工具下UVM仿真環(huán)境
    的頭像 發(fā)表于 11-10 15:35 ?5192次閱讀
    圖像處理:HDMI顯示代碼的<b class='flag-5'>UVM</b><b class='flag-5'>仿真</b>

    vcsxrun搭配uvm1.1/uvm1.2版本庫(kù)的使用情況

    我們?cè)陧?xiàng)目中有時(shí)候需要使用不同的UVM版本庫(kù)進(jìn)行仿真,有時(shí)候還會(huì)在不同的仿真器之間進(jìn)行切換,本文簡(jiǎn)單總結(jié)了
    的頭像 發(fā)表于 10-27 09:41 ?4050次閱讀

    IC驗(yàn)證之UVM常用宏匯總分析(四)

    OVM中過(guò)時(shí)的用法,使用純凈的UVM環(huán)境:`define UVM_NO_DEPRECATED除了上述通用的宏外,針對(duì)不同的仿真工具需要定義不同的宏:QUESTA、
    發(fā)表于 12-02 15:24

    IC驗(yàn)證"個(gè)簡(jiǎn)單UVM驗(yàn)證平臺(tái)"是如何搭建的(六)

    連載....小編考慮到個(gè)好的連續(xù)劇不能沒(méi)有結(jié)尾,所以后期會(huì)持續(xù)更新ic驗(yàn)證的UVM相關(guān)內(nèi)容.......今天更新個(gè)
    發(fā)表于 12-04 15:48

    數(shù)字IC驗(yàn)證之“搭建個(gè)可以運(yùn)行的uvm測(cè)試平臺(tái)”(5)連載中...

    的transaction,以及創(chuàng)建用于產(chǎn)生事物的事物發(fā)生器sequence?! ≡?b class='flag-5'>uvm驗(yàn)證平臺(tái)中穿梭各個(gè)組件之間的基本信息單元是個(gè)被稱為transaction的數(shù)據(jù)對(duì)象,也就是我們
    發(fā)表于 01-26 10:05

    請(qǐng)問(wèn)下在UVM中的UVMsequences是什么意思啊

    uvm_object基類擴(kuò)展得到。UVM sequences不是在仿真的開(kāi)始,而是在仿真的過(guò)程中生成并分配內(nèi)存的,也沒(méi)有類似uvm_comp
    發(fā)表于 04-11 16:43

    基于linux系統(tǒng)實(shí)現(xiàn)的vivado調(diào)用VCS仿真教程

    在linux系統(tǒng)上實(shí)現(xiàn)vivado調(diào)用VCS仿真教程 作用:vivado調(diào)用VCS仿真可以加快工程的仿真和調(diào)試,提高效率。 前期準(zhǔn)備:確認(rèn)安
    的頭像 發(fā)表于 07-05 03:30 ?1.1w次閱讀
    基于linux系統(tǒng)實(shí)現(xiàn)的vivado調(diào)用<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>教程

    51單片機(jī)開(kāi)發(fā)環(huán)境keil uVision4安裝,創(chuàng)建個(gè)簡(jiǎn)單工程

    51單片機(jī)開(kāi)發(fā)環(huán)境keil uVision4安裝,創(chuàng)建個(gè)簡(jiǎn)單工程
    發(fā)表于 11-13 12:21 ?6次下載
    51單片機(jī)開(kāi)發(fā)<b class='flag-5'>環(huán)境</b>keil uVision4安裝,<b class='flag-5'>創(chuàng)建</b><b class='flag-5'>一</b><b class='flag-5'>個(gè)</b><b class='flag-5'>簡(jiǎn)單</b>工程

    使用VCS仿真Vivado IP核時(shí)遇到的問(wèn)題及解決方案

    前年,發(fā)表了篇文章《VCS獨(dú)立仿真Vivado IP核的些方法總結(jié)》(鏈接在參考資料1),里面簡(jiǎn)單講述了使用
    的頭像 發(fā)表于 08-29 14:41 ?2478次閱讀

    淺談VCS的兩種仿真flow

    幾乎所有的芯片設(shè)計(jì)、芯片驗(yàn)證工程師,每天都在和VCS打交道,但是由于驗(yàn)證環(huán)境的統(tǒng)化管理,般將不同的編譯仿真選項(xiàng)集成在
    的頭像 發(fā)表于 01-10 11:20 ?3730次閱讀

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我們以個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的頭像 發(fā)表于 05-08 16:00 ?6423次閱讀
    如何用<b class='flag-5'>vcs</b>+verdi<b class='flag-5'>仿真</b>Verilog文件并查看波形呢?

    如何用vcs+verdi仿真Verilog文件

    我們以個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件內(nèi)容如下:
    的頭像 發(fā)表于 05-11 17:03 ?1909次閱讀
    如何用<b class='flag-5'>vcs</b>+verdi<b class='flag-5'>仿真</b>Verilog文件

    vcs工作環(huán)境

    vcs工作環(huán)境
    發(fā)表于 05-15 09:38 ?0次下載

    Verdi環(huán)境配置、生成波形的方法

    Verdi是個(gè)功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun
    的頭像 發(fā)表于 05-29 09:48 ?3827次閱讀
    Verdi<b class='flag-5'>環(huán)境</b>配置、生成波形的方法

    VCS獨(dú)立仿真Vivado IP核的些方法總結(jié)

    最近,需要使用VCS仿真個(gè)高速并串轉(zhuǎn)換的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原語(yǔ)。而此前我只使用
    的頭像 發(fā)表于 06-06 11:09 ?2728次閱讀
    <b class='flag-5'>VCS</b>獨(dú)立<b class='flag-5'>仿真</b>Vivado IP核的<b class='flag-5'>一</b>些方法總結(jié)
    RM新时代网站-首页